hunterspopla.blogg.se

Modelsim altera libraries
Modelsim altera libraries













  1. #Modelsim altera libraries verification#
  2. #Modelsim altera libraries code#

#Modelsim altera libraries code#

The revised code is as follows: module pll_sys_rst(

  • Use external rst signal to provide reset.
  • In Figure 6.16, before PLL starts to lock, the sys_clk already has a rising edge, so PLL_locked signal is just converted from low to high.
  • Add pll_locked signal to the wave, and re-simulate.
  • Counter count does not have a valid result, instead, unknow result XXXXXX is gotten.
  • Click the Run icon to run the simulation. In the tool bar, set the simulation time to be 100 ns.
  • Logical signals select Force and select Clock for clock signals.
  • Set the signals in Wave, right click any signal and a selection window will occur.
  • In the Objects window, choose all the signals and drag them to Wave window.
  • See Figure 6.8.įigure 6.8 Choose the project to simulate
  • Under Design tag, choose simulation project mult_sim and click OK.
  • In the popup window, add libraries under Libraries tag.
  • Tools > Run Simulation Tool > RTL Simulation to start simulation.
  • Click the menu bar Tools > Options, as shown in Figure 6.5, click OK.
  • Method 1: Simulation based on waveform input Here, ModelSim simulation is used to verify the experiment.

    #Modelsim altera libraries verification#

    ) 6.4 Use of ModelSim and the Experiment Verification

  • Select the pipeline to speed up the operation, as shown in Figure 6.4.Ĩ×8 multiplier instantiation: reg sys_clk;.
  • Select the multiplication type to be Unsigned.
  • After adding the LPM_MULT IP ( IP Catalog > Library > Basic Functions > Arithmatic > LPM_MULT) and saving the path, the setting window of the multiplier is popped up, as shown in Figure 6.2, and the two input data is set to eight bits as required.
  • The second step: the multiplier IP core setting steps are as follows: The value of the switch is used as the first input of the multiplier, the value of the counter is the second input, and the result of the calculation is output. The first step: the establishment of the main program framework module mult_sim ( Only one counter, one PLL and one multiplier are used in the program. ModelSim experiment, different from the previous experiment, when building the project, the simulation tool to be used needs to be added in the EDA tool selection window. Programs can be simulated to achieve inspection and error correction. ModelSim is an HDL language simulation software. Since the simulation tools and the new IP core are used here, there is no introduction or design part of hardware.
  • Oberseve the calculation results with a four-digit segment display.
  • 8×8 multiplier, the first input value is an 8-bit switch, and the second input value is the output of an 8-bit counter.
  • Use ModelSim simulation to design output.
  • Experiment 6 Use of Multipliers and ModelSim Simulation 6.1 Experiment Objective















    Modelsim altera libraries